VHDL-AMS

El lenguaje de modelado VHDL AMS es un estándar (1076.1) establecido por el IEEE para la modelización de sistemas de "señal mixta", analógicos y digitales (digital analógico). Modelos escritos en VHDL AMS pueden ser manejados por una serie de simuladores que permite intercambio de modelos incluso si los socios del proyecto utilizan software de simulación diferentes. El idioma se basa en el estándar VHDL que se ha establecido durante muchos años. VHDL permite la descripción de la lógica en los sistemas digitales (evento activa) y proporciona una base para su synthetization. Ahora con la extensión VHDL AMS, también pueden incluidos sistemas de ecuaciones diferenciales y algebraicas.

La combinación de ambos métodos de modelización resulta en un sistema de modelización altamente flexible. Por ejemplo, la elaboración de modelos de control los componentes pueden ser realizadas a un menor nivel de detalle en un modelo digital, mientras motores, electrónica de potencia, partes mecánicas y otros componentes se describen con más detalle por algebraica y ecuaciones diferenciales en un modelo análogo. La imagen anterior muestra las funciones de VHDL AMS en el ejemplo de una unidad de motor paso a paso. La simulación del sistema consta de una serie de modelos de componentes, todos ellos escritos en VHDL AMS. El motor es controlado por un inversor de dos puentes de H. Señales PWM para los transistores de conmutación y generadas por procesos digitales. Los modelos del inversor (eléctrico), motoras fases (eléctrico o mecánico) y rotor (mecánico) utilizan analógicas declaraciones sólo. Esta simulación modelo ha sido creado por Adapted Solutions en el marco de un proyecto dirigido por el grupo de trabajo FAT Simulación de sistemas de señal mixta con VHDL-AMS.

La imagen adyacente muestra la vinculación de varios dominios por un modelo VHDL AMS. La simulación es construida de modelos para una fuente de tensión de tres fases, una máquina de inducción y su carga mecánica. El modelo de la máquina de inducción se divide en dos partes. El conjunto de la ecuación que describe las interacciones electro-magnéticas, la generación de par y el movimiento del rotor se da en un modelo VHDL AMS. Este modelo incluye pines para conectar con las redes eléctricas, mecánicas y térmicas. Las condiciones térmicas dentro de la máquina se calculan por un sub-sheet que está vinculado con el modelo VHDL AMS. A través de sus bordes térmicas, el modelo VHDL AMS alimenta las pérdidas de la máquina en la red térmica. Al revés, puede leer las temperaturas de nodo y calcula los parámetros dependientes de la temperatura de la red electro-magnética.

El uso de un lenguaje de descripción de ofrece ventajas en comparación con modelos gráficos, especialmente cuando se establece la ecuación más grande tienen que aplicarse como en máquinas eléctricas. Ecuaciones de libro de texto pueden ser convertidos en líneas de modelo muy cómodamente.

El concepto de entidad arquitectura utilizado por ofertas VHDL AMS la posibilidad de asignar varias descripciones de comportamiento ("arquitecturas") para el mismo modelo de tener una interfaz definida ("entidad"). Debido a esta característica, conjuntos alternativos ecuación de complejidades diferentes pueden implementados y elegidos en función de los requisitos de una simulación particular.

En Portunus ® , Modelos VHDL AMS pueden crearse con la ayuda de un potente editor o simplemente leer de archivos ASCII. Las características del editor funciona como colorante de sintaxis y permite un control inmediato del texto modelo para la sintaxis correcta. Un editor de símbolo permite una adaptación del símbolo en el esquema. Modelos VHDL AMS podrán almacenarse y editados en el esquema o en una biblioteca.

Páginas relacionadas